Omron Automation and Safety - CJ2M-MD212

KEY Part #: K7084509

CJ2M-MD212 Ceny (USD) [258ks skladom]

  • 1 pcs$158.40792

Číslo dielu:
CJ2M-MD212
Výrobca:
Omron Automation and Safety
Detailný popis:
I/O MODULE 10 DIGITAL 6 PULSE. Controllers 2 AxisPulse16 I O PNPCJ2MOnly
Štandardná dodacia lehota výrobcu:
Skladom
Skladovateľnosť:
Jeden rok
Čip z:
Hong Kong
RoHS:
Spôsob platby:
Spôsob prepravy:
Rodinné kategórie:
KEY Components Co, LTD je distribútor elektronických komponentov, ktorý ponúka kategórie výrobkov vrátane: Ovládanie osvetlenia - príslušenstvo, Vízia stroja - objektív, Regulátory - Príslušenstvo, Regulátory - PLC moduly, Ochranné relé a ochranné relé , Vačkové polohovače, Strojné videnie - osvetlenie and Stohovateľné vežové osvetlenie a komponenty ...
Konkurenčná výhoda:
We specialize in Omron Automation and Safety CJ2M-MD212 electronic components. CJ2M-MD212 can be shipped within 24 hours after order. If you have any demands for CJ2M-MD212, Please submit a Request for Quotation here or send us an email:
GB-T-27922
ISO-9001-2015
ISO-13485
ISO-14001
ISO-28000-2007
ISO-45001-2018

CJ2M-MD212 Atribúty produktu

Číslo dielu : CJ2M-MD212
Výrobca : Omron Automation and Safety
popis : I/O MODULE 10 DIGITAL 6 PULSE
séria : CJ2M
Stav časti : Active
typ : Input, Output (I/O) Module
Pre použitie s / Súvisiace produkty : CJ Series
Počet vstupov a typ : 10 - Digital
Počet výstupov a typ : 6 - Pulse (2), PWM (2), Solid State (2)
Napätie - napájanie : -
Typ montáže : DIN Rail
Štýl ukončenia : Rectangular Connector
Vlastnosti : -

Môže vás tiež zaujímať
  • CSPID2S0

    Red Lion Controls

    PROCESS CTRL MOD 2 ANALOG 4 SS.

  • CSPID2TM

    Red Lion Controls

    PROCESS CTRL MOD 3 ANALOG 4 SS.

  • CSPID1SM

    Red Lion Controls

    PROCESS CTRL MOD 2 ANALOG 3 SS.

  • CSPID1SA

    Red Lion Controls

    PROC CTRL MOD 1 ANALOG 4 ANALOG.

  • CSPID1TA

    Red Lion Controls

    PROC CTRL MOD 1 ANALOG 3 ANALOG.

  • 2901537

    Phoenix Contact

    INPUT MODULE 4 ANALOG. I/O Modules 4 Channel Analog input IO module